cancel
Showing results for 
Search instead for 
Did you mean: 

General Discussions

Morlank
Journeyman III

Zynq 7000 Axi gpio and EMIO question

Hello, I got a rather curious question.

 

I was looking at the zynq 7000 diagram and noticed that the EMIO can pass through the PL.

It got me thinking, is it at all possible to route the AXI GPIOs to the selected MIO pins via EMIO?

 

Or even program it so that a signal goes through an AXI GPIO and through, say, the UART pins via EMIO at the same time?

 

 

 

0 Likes
2 Replies

There is a separate support forum, go to https://www.xilinx.com/support.html

 

Ryzen 5 5600x, B550 aorus pro ac, Hyper 212 black, 2 x 16gb F4-3600c16dgtzn kit, NM790 2TB, Nitro+RX6900XT, RM850, Win.10 Pro., LC27G55T..
0 Likes
gonilho2
Journeyman III

With the Zybo board it appears you are correct. Other dev board will may have a phy connected to pl pins and some will have an sfp port connected to some high speed pl pins. The sfp port could then be used for Ethernet.

0 Likes